2019-01-01から1ヶ月間の記事一覧

python3系とherokuでdjango channelsのデプロイ

django channelsのdeployingを見れば載ってる。 """ myproject/asgi.py """ import os import django from channels.routing import get_default_application os.environ.setdefault("DJANGO_SETTINGS_MODULE", "myproject.settings") django.setup() applic…

djangoのpythonコード内で時間のフォーマットを取得する

djangoでローカライズされたフォーマットで時間の文字列を取得したい時は、 from django.utils.formats import date_format をDateTimeFieldとかDateFieldと"DATETIME_FORMAT"を渡したりすれば文字列が返ってくるけど、時間があってない(l10nされてない)。 …

verilogを書くことになりそうなので。

CPU作るぞ〜っていうことでverilogを読むことになりそうなので、書いてみた(は?w) 使用したツール群 icarus verilog gtkwave チュートリアル インストールは省略 /* AndNand.v */ module ANDNAND(a, b, o1, o2); input a,b; output o1,o2; and AndInstance(…

30日でできるOS自作入門をやり終わった

感想 30日でできるOS自作入門をやり終わった。 自分で書いて、ふむふむっていいながら書き進んだ。 あとは細かいところとかを調べて実装したりしてみたいと思った。 ハードとソフトのインターフェースの部分の実装がすこしでもわかったのはよかった。 後半数…

django channelsで複数アプリのルーティング

django channelsのチュートリアルだと一つのアプリで複数アプリのルーティングが書いてなかったので。 from channels.auth import AuthMiddlewareStack from channels.routing import ProtocolTypeRouter, URLRouter import chat.routing import anime_log.r…

EaseUS Todo BackupのOSクローン失敗事例

家のwindows機のssdを256から500に買えようと思ったんだけど普通に失敗した OSの入ったドライブはGPTになってる。 新しいドライブをGPTでフォーマットして、システムクローンを行ってみた。 移し替えた後もOSは起動できた。今まで通りと思っていた。 chrome…

golangで作るインタープリター読み終わった

golangで作るインタープリター読み終わりました!!!!!!!! 率直な感想はとても面白かったです。 あんまり言語処理系詳しくないんですけど、ソースコードがめちゃめちゃ綺麗でテスト駆動方針なので、非常に読みやすいです。 読む前に知っておいて良かっ…