2018-10-21から1日間の記事一覧

ルックアヘッドキャリー加算器

vhdlで2bitのルックアヘッドキャリー加算器を書いてみた。 このくらいじゃ威力はないけど、桁が上がるにつれて強力になる回路。 ウィキがわかりやすい。 単純に普通の加算器のキャリー部分を別回路にしたもの。 x桁目から x+1 桁目にいく(小さい桁から数えて…

esp32で2.8 Inch TFT液晶とUSB HOST LIB使った

サンプルをくっつけた感じが強いのですが、使いました。 有線のキーボードを使って、TFTディスプレイに入力したキーを表示させました。 使用機器 2.8 Inch TFT液晶モジュール(ILI9341ドライバーのもの) これはどこで買ったか忘れました。 esp32 devkit C(秋…