VHDL

ルックアヘッドキャリー加算器

vhdlで2bitのルックアヘッドキャリー加算器を書いてみた。 このくらいじゃ威力はないけど、桁が上がるにつれて強力になる回路。 ウィキがわかりやすい。 単純に普通の加算器のキャリー部分を別回路にしたもの。 x桁目から x+1 桁目にいく(小さい桁から数えて…

VHDLのstd_logic_vectorとloopの変換

結論から書きます。この記事を書くことになった経緯や思いは後半部分に書こうと思います。 loop 変数からstd_logic_vectorの変換方法 一度、unsignedに直して、std_logic_vectorに入れる。 ghdlでコンパイルしました。 断片的にコードを書き写すのが難しい言…